Back Midas Rome Roody Rootana
  Midas DAQ System, Page 134 of 138  Not logged in ELOG logo
New entries since:Wed Dec 31 16:00:00 1969
ID Date Author Topicdown Subject Text Attachments
  86   01 Dec 2003 Konstantin Olchanski Implementation of db_check_record()> Fixed and committed. Can you check if it's
working?
Yes, it is fixed. Thanks. K.O.
  
  34   05 Dec 2003 Konstantin Olchanski HOWTO setup MIDAS ROOT tree analysis> root -l
root> TFile *f = new TFile("run00064.root")
root> TTree *t = f->Get("Trigger")
  
  32   05 Dec 2003 Konstantin Olchanski HOWTO setup MIDAS ROOT tree analysis> root -l
root> TFile *f = new TFile("run00064.root")
root> TTree *t = f->Get("Trigger")
  
  30   05 Dec 2003 Konstantin Olchanski HOWTO setup MIDAS ROOT tree analysis> root -l
root> TFile *f = new TFile("run00064.root")
root> TTree *t = f->Get("Trigger")
  
  80   09 Dec 2003 Paul Knowles db_close_record non-local/non-return
Hi All,
  
  81   12 Dec 2003 Stefan Ritt db_close_record non-local/non-returnHi Paul,

sorry my late reply, I had to find some time
  
  79   12 Dec 2003 Stefan Ritt Several small fixes and changesI committed several small fixes and changes:

- install.txt which mentions explicitly ROOT
  
  74   15 Dec 2003 Stefan Ritt Poll about default indent style Dear all,

there are continuing requests about the C
  
  36   15 Dec 2003 Pierre-André Amaudruz ROOT GUI at TriumfThe current Triumf DAQ standard (Midas) since
the second quarter of this
year (2003) has the capability to deal with
  
  4   18 Dec 2003 Stefan Ritt Alarm on no ping?> I want midas alarms to go off when I cannot
ping arbitrary remote hosts. Is
> there is easy/preferred way to do this?
  
  75   18 Dec 2003 Paul Knowles Poll about default indent style Hi Stefan,

> once and forever, I am considering using
  
  76   18 Dec 2003 Stefan Ritt Poll about default indent style Hi Paul,

I agree with you that a nesting level of
  
  77   01 Jan 2004 Konstantin Olchanski Poll about default indent style > I don't feel a strong need of giving up
a "-i2"...
  
  78   06 Jan 2004 Stefan Ritt Poll about default indent style Ok, taking all comments so far into account,
I conclude adopting the ROOT 
coding style would be best for us. So I put
  
  67   14 Jan 2004 Konstantin Olchanski First try- midas on darwin/macosxWhile watching "The Wizard of Oz", the greatest
movie ever made, I took a shot at building
 xxx 
  68   14 Jan 2004 Stefan Ritt First try- midas on darwin/macosxGreat, I got already questions about MacOSX
support...
  
  5   14 Jan 2004 Razvan Stefan Gornea Access to hardware in the MIDAS frameworkI am just starting to explore MIDAS, i.e.
reading the manual and trying 
some examples. For the moment I would like
  
  6   14 Jan 2004 Stefan Ritt Access to hardware in the MIDAS frameworkThere is some information at

http://midas.triumf.ca/doc/html/Internal.html#Slow_Control_system
  
  7   16 Jan 2004 Razvan Stefan Gornea Access to hardware in the MIDAS frameworkThe multimeter device is indeed to simple
to use MIDAS but I am just trying 
it as a learning experience. The DAQ system
 frontend.cmeterdev.c 
  69   16 Jan 2004 Konstantin Olchanski First try- midas on darwin/macosx> Great, I got already questions about MacOSX
support...
> Once it's working, you should commit the
 xxx 
ELOG V3.1.4-2e1708b5